Home
last modified time | relevance | path

Searched refs:pipe1 (Results 1 – 16 of 16) sorted by relevance

/external/ltp/testcases/kernel/syscalls/tee/
Dtee01.c52 int pipe1[2]; in tee_test() local
59 SAFE_PIPE(pipe1); in tee_test()
62 ret = splice(fd_in, NULL, pipe1[1], NULL, TEST_BLOCK_SIZE, 0); in tee_test()
66 ret = tee(pipe1[0], pipe2[1], TEST_BLOCK_SIZE, SPLICE_F_NONBLOCK); in tee_test()
76 SAFE_CLOSE(pipe1[0]); in tee_test()
77 SAFE_CLOSE(pipe1[1]); in tee_test()
/external/libchrome/mojo/core/
Dinvitation_unittest.cc223 MojoHandle pipe1 = MOJO_HANDLE_INVALID; in TEST_F() local
225 invitation, "x", 1, nullptr, &pipe1)); in TEST_F()
226 EXPECT_NE(MOJO_HANDLE_INVALID, pipe1); in TEST_F()
233 EXPECT_EQ(kMessage, ReadMessage(pipe1)); in TEST_F()
236 EXPECT_EQ(MOJO_RESULT_OK, MojoClose(pipe1)); in TEST_F()
265 MojoHandle pipe1 = MOJO_HANDLE_INVALID; in TEST_F() local
268 MojoAttachMessagePipeToInvitation(invitation, "x", 1, nullptr, &pipe1)); in TEST_F()
269 EXPECT_EQ(MOJO_HANDLE_INVALID, pipe1); in TEST_F()
271 invitation, "y", 1, nullptr, &pipe1)); in TEST_F()
272 EXPECT_NE(MOJO_HANDLE_INVALID, pipe1); in TEST_F()
[all …]
Ddata_pipe_unittest.cc1562 MojoHandle pipe0, pipe1; in TEST_F() local
1563 ASSERT_EQ(MOJO_RESULT_OK, MojoCreateMessagePipe(nullptr, &pipe0, &pipe1)); in TEST_F()
1570 WaitForSignals(pipe1, MOJO_HANDLE_SIGNAL_READABLE, &hss)); in TEST_F()
1571 ASSERT_EQ(MOJO_RESULT_OK, ReadEmptyMessageWithHandles(pipe1, &producer_, 1)); in TEST_F()
1598 ASSERT_EQ(MOJO_RESULT_OK, MojoClose(pipe1)); in TEST_F()
1632 MojoHandle pipe0, pipe1; in TEST_F() local
1633 ASSERT_EQ(MOJO_RESULT_OK, MojoCreateMessagePipe(nullptr, &pipe0, &pipe1)); in TEST_F()
1640 WaitForSignals(pipe1, MOJO_HANDLE_SIGNAL_READABLE, &state)); in TEST_F()
1641 ASSERT_EQ(MOJO_RESULT_OK, ReadEmptyMessageWithHandles(pipe1, &consumer_, 1)); in TEST_F()
1658 ASSERT_EQ(MOJO_RESULT_OK, MojoClose(pipe1)); in TEST_F()
Dmessage_unittest.cc502 MessagePipe pipe1; in TEST_F() local
503 message->AddMessagePipe(std::move(pipe1.handle0)); in TEST_F()
508 EXPECT_EQ(MOJO_RESULT_OK, WaitForSignals(pipe1.handle1.get().value(), in TEST_F()
/external/libchrome/ipc/
Dipc_sync_channel_unittest.cc810 mojo::MessagePipe pipe1, pipe2; in Multiple() local
819 worker = new MultipleServer1(server_pump, std::move(pipe1.handle0)); in Multiple()
824 std::move(pipe1.handle1)); in Multiple()
913 mojo::MessagePipe pipe1, pipe2; in QueuedReply() local
915 std::move(pipe1.handle0), "Got first message"); in QueuedReply()
923 new QueuedReplyClient(&client_worker_thread, std::move(pipe1.handle1), in QueuedReply()
1624 mojo::MessagePipe pipe1, pipe2; in TEST_F() local
1635 1, &server1_ready, events, server2, std::move(pipe1.handle0)); in TEST_F()
1640 server1, client2, &server1_ready, events, std::move(pipe1.handle1)); in TEST_F()
1748 mojo::MessagePipe pipe0, pipe1, pipe2, pipe3; in TEST_F() local
[all …]
/external/libchrome/mojo/public/cpp/system/tests/
Dinvitation_unittest.cc244 auto pipe1 = invitation.ExtractMessagePipe(1); in DEFINE_TEST_CLIENT() local
246 CHECK_EQ(kTestMessage2, ReadMessage(pipe1)); in DEFINE_TEST_CLIENT()
256 ScopedMessagePipeHandle pipe1 = OutgoingInvitation::SendIsolated( in TEST() local
/external/perfetto/src/base/
Dsubprocess_unittest.cc425 base::Pipe pipe1 = base::Pipe::Create(); in TEST() local
427 int pipe1_wr = *pipe1.wr; in TEST()
439 pipe1.wr.reset(); in TEST()
443 EXPECT_LE(read(*pipe1.rd, buf, sizeof(buf)), 0); in TEST()
/external/libchrome/mojo/public/cpp/bindings/tests/
Dunion_unittest.cc1026 ScopedMessagePipeHandle pipe1; in TEST() local
1028 CreateMessagePipe(nullptr, &pipe0, &pipe1); in TEST()
1031 handle->set_f_message_pipe(std::move(pipe1)); in TEST()
1044 ScopedMessagePipeHandle pipe1; in TEST() local
1046 CreateMessagePipe(nullptr, &pipe0, &pipe1); in TEST()
1048 HandleUnionPtr handle(HandleUnion::NewFMessagePipe(std::move(pipe1))); in TEST()
1061 ScopedMessagePipeHandle pipe1; in TEST() local
1063 CreateMessagePipe(nullptr, &pipe0, &pipe1); in TEST()
1066 handle->set_f_message_pipe(std::move(pipe1)); in TEST()
1089 ScopedMessagePipeHandle pipe1; in TEST() local
[all …]
Dhandle_passing_unittest.cc204 MessagePipe pipe1; in TEST_P() local
205 EXPECT_TRUE(WriteTextMessage(pipe1.handle1.get(), kText2)); in TEST_P()
213 1, std::move(pipe1.handle0), base::nullopt, std::move(imported))); in TEST_P()
/external/igt-gpu-tools/tests/
Dkms_plane_scaling.c562 enum pipe pipe1, pipe2; in test_scaler_with_multi_pipe_plane() local
568 find_connected_pipe(display, false, &pipe1, &output1); in test_scaler_with_multi_pipe_plane()
573 igt_output_set_pipe(output1, pipe1); in test_scaler_with_multi_pipe_plane()
577 d->plane2 = get_num_scalers(d, pipe1) >= 2 ? igt_output_get_plane(output1, 1) : NULL; in test_scaler_with_multi_pipe_plane()
/external/swiftshader/third_party/llvm-10.0/llvm/lib/Target/X86/
DX86PfmCounters.td199 PfmIssueCounter<"BtFPU1", "dispatched_fpu:pipe1">
209 PfmIssueCounter<"JFPU1", "dispatched_fpu:pipe1">
/external/llvm-project/llvm/lib/Target/X86/
DX86PfmCounters.td199 PfmIssueCounter<"BtFPU1", "dispatched_fpu:pipe1">
209 PfmIssueCounter<"JFPU1", "dispatched_fpu:pipe1">
/external/swiftshader/third_party/llvm-10.0/configs/common/lib/Target/X86/
DX86GenExegesis.inc14 "dispatched_fpu:pipe1", // 3
/external/vulkan-validation-layers/tests/
Dvklayertests_command.cpp856 VkPipelineObj pipe1(m_device); in TEST_F() local
857 pipe1.AddDefaultColorAttachment(); in TEST_F()
858 pipe1.AddShader(&vs); in TEST_F()
859 pipe1.AddShader(&fs); in TEST_F()
860 pipe1.AddVertexInputBindings(&input_binding, 1); in TEST_F()
861 pipe1.AddVertexInputAttribs(&input_attribs[0], 3); in TEST_F()
862 pipe1.SetViewport(m_viewports); in TEST_F()
863 pipe1.SetScissor(m_scissors); in TEST_F()
864 pipe1.CreateVKPipeline(pipeline_layout.handle(), renderPass()); in TEST_F()
883 vkCmdBindPipeline(m_commandBuffer->handle(), VK_PIPELINE_BIND_POINT_GRAPHICS, pipe1.handle()); in TEST_F()
Dvkpositivelayertests.cpp2509 VkPipelineObj pipe1(m_device); in TEST_F() local
2566 VkPipelineObj &pipe = pipe1; in TEST_F()
/external/libevent/test/
Dregress.c1242 int pipe1; in test_signal_pipeloss() local
1245 pipe1 = base1->sig.ev_signal_pair[0]; in test_signal_pipeloss()
1249 if (close(pipe1) != -1 || errno!=EBADF) { in test_signal_pipeloss()