Home
last modified time | relevance | path

Searched refs:PROPERTY (Results 1 – 25 of 112) sorted by relevance

12345

/external/eigen/cmake/
DEigenTesting.cmake3 get_property(previous GLOBAL PROPERTY ${prop})
5 set_property(GLOBAL PROPERTY ${prop} "${value}")
7 set_property(GLOBAL PROPERTY ${prop} "${previous} ${value}")
103 get_property(current_subproject GLOBAL PROPERTY EIGEN_CURRENT_SUBPROJECT)
105 set_property(TARGET ${targetname} PROPERTY LABELS "Build${current_subproject}")
107 set_property(TEST ${testname_with_suffix} PROPERTY LABELS "${current_subproject}")
198 get_property(current_subproject GLOBAL PROPERTY EIGEN_CURRENT_SUBPROJECT)
200 set_property(TARGET ${targetname} PROPERTY LABELS "Build${current_subproject}")
202 set_property(TEST ${testname_with_suffix} PROPERTY LABELS "${current_subproject}")
252 get_property(EIGEN_TESTS_LIST GLOBAL PROPERTY EIGEN_TESTS_LIST)
[all …]
/external/expat/
DCMakeLists.txt121 set_property(TARGET expat PROPERTY VERSION ${LIBCURRENT_MINUS_AGE}.${LIBAGE}.${LIBREVISION})
122 set_property(TARGET expat PROPERTY SOVERSION ${LIBCURRENT_MINUS_AGE})
123 set_property(TARGET expat PROPERTY NO_SONAME ${NO_SONAME})
154 set_property(TARGET xmlwf PROPERTY RUNTIME_OUTPUT_DIRECTORY xmlwf)
165 set_property(TARGET elements PROPERTY RUNTIME_OUTPUT_DIRECTORY examples)
169 set_property(TARGET outline PROPERTY RUNTIME_OUTPUT_DIRECTORY examples)
176 set_property(TARGET runtests PROPERTY RUNTIME_OUTPUT_DIRECTORY tests)
181 set_property(TARGET runtestspp PROPERTY RUNTIME_OUTPUT_DIRECTORY tests)
/external/llvm/cmake/modules/
DAddLLVM.cmake6 get_property(sources TARGET ${name} PROPERTY SOURCES)
42 # - PROPERTY COMPILE_FLAGS is string.
49 set_property(SOURCE ${fn} APPEND_STRING PROPERTY
55 set_property(TARGET ${name} APPEND_STRING PROPERTY
59 set_property(TARGET ${name} APPEND PROPERTY COMPILE_DEFINITIONS ${LLVM_COMPILE_DEFINITIONS})
70 set_property(TARGET ${target_name} APPEND_STRING PROPERTY
86 set_property(TARGET ${target_name} APPEND_STRING PROPERTY
89 set_property(TARGET ${target_name} APPEND_STRING PROPERTY
105 set_property(TARGET ${target_name} APPEND_STRING PROPERTY
112 get_property(srcs TARGET ${target_name} PROPERTY SOURCES)
[all …]
DCMakeLists.txt4 get_property(LLVM_EXPORTS GLOBAL PROPERTY LLVM_EXPORTS)
8 get_property(LLVM_AVAILABLE_LIBS GLOBAL PROPERTY LLVM_LIBS)
11 get_property(llvm_lib_deps GLOBAL PROPERTY LLVMBUILD_LIB_DEPS_${lib})
13 … "${all_llvm_lib_deps}\nset_property(GLOBAL PROPERTY LLVMBUILD_LIB_DEPS_${lib} ${llvm_lib_deps})")
DAddSphinxTarget.cmake30 set_property(DIRECTORY APPEND PROPERTY
37 DIRECTORY PROPERTY ADDITIONAL_MAKE_CLEAN_FILES)
40 set_property(DIRECTORY APPEND PROPERTY
/external/mesa3d/src/gallium/tests/graw/geometry-shader/
Dmov.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE TRIANGLE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 3
Dadd.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE LINE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 3
Dadd-mix.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE TRIANGLE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 3
Dmov-cb-2d.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE TRIANGLE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 3
Dline.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE LINE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 4
Dmulti-line.txt2 PROPERTY GS_INPUT_PRIMITIVE TRIANGLES
3 PROPERTY GS_OUTPUT_PRIMITIVE LINE_STRIP
4 PROPERTY GS_MAX_OUTPUT_VERTICES 8
/external/Microsoft-GSL/tests/
DCMakeLists.txt6 set_property(GLOBAL PROPERTY USE_FOLDERS ON)
64 set_property(TARGET test_catch PROPERTY FOLDER "GSL_tests")
79 set_property(TARGET ${name} PROPERTY FOLDER "GSL_tests")
/external/parameter-framework/upstream/bindings/python/
DCMakeLists.txt33 PROPERTY ADVANCED TRUE)
52 set_property(SOURCE pfw.i PROPERTY CPLUSPLUS ON)
53 set_property(SOURCE pfw.i PROPERTY SWIG_FLAGS "-Wall")
55 set_property(SOURCE pfw.i APPEND PROPERTY SWIG_FLAGS "-Werror")
69 set_property(TARGET _PyPfw PROPERTY LIBRARY_OUTPUT_DIRECTORY ${CMAKE_CURRENT_BINARY_DIR})
/external/compiler-rt/test/
DCMakeLists.txt15 set_property(TARGET FileCheck PROPERTY IMPORTED_LOCATION ${LLVM_TOOLS_BINARY_DIR}/FileCheck)
84 get_property(LLVM_LIT_TESTSUITES GLOBAL PROPERTY LLVM_LIT_TESTSUITES)
85 get_property(LLVM_LIT_DEPENDS GLOBAL PROPERTY LLVM_LIT_DEPENDS)
/external/libjpeg-turbo/sharedlib/
DCMakeLists.txt55 set_property(TARGET cjpeg PROPERTY COMPILE_FLAGS ${COMPILE_FLAGS})
60 set_property(TARGET djpeg PROPERTY COMPILE_FLAGS ${COMPILE_FLAGS})
65 set_property(TARGET jpegtran PROPERTY COMPILE_FLAGS "-DUSE_SETMODE")
/external/parameter-framework/upstream/ctest/
DCMakeLists.txt53 # A cmake PROPERTY value is just a string.
54 # The ENVIRONMENT PROPERTY is implemented as a semicolon separated
62 # before inserting them in the ENVIRONMENT PROPERTY.
90 set_property(TEST ${TestName} PROPERTY ENVIRONMENT
/external/harfbuzz_ng/test/api/
Dtest-unicode.c458 #define PROPERTY(name, DEFAULT) \ macro
471 PROPERTY (combining_class, 0),
472 PROPERTY (eastasian_width, 1),
473 PROPERTY (general_category, (unsigned int) HB_UNICODE_GENERAL_CATEGORY_OTHER_LETTER),
474 PROPERTY (mirroring, RETURNS_UNICODE_ITSELF),
475 PROPERTY (script, (unsigned int) HB_SCRIPT_UNKNOWN)
477 #undef PROPERTY
/external/eigen/scripts/
Dcdashtesting.cmake.in30 set_property(GLOBAL PROPERTY SubProject ${subproject})
31 set_property(GLOBAL PROPERTY Label ${subproject})
/external/clang/tools/c-index-test/
DCMakeLists.txt13 PROPERTY COMPILE_FLAGS "-std=gnu89"
45 set_property(TARGET c-index-test APPEND PROPERTY INSTALL_RPATH
/external/capstone/
DCMakeLists.txt303 set_property(GLOBAL PROPERTY VERSION ${VERSION_MAJOR}.${VERSION_MINOR}.${VERSION_PATCH})
308 set_property(TARGET capstone-static PROPERTY OUTPUT_NAME capstone)
328 set_property(TARGET capstone-shared PROPERTY OUTPUT_NAME capstone)
329 set_property(TARGET capstone-shared PROPERTY COMPILE_FLAGS -DCAPSTONE_SHARED)
381 get_property(LIB64 GLOBAL PROPERTY FIND_LIBRARY_USE_LIB64_PATHS)
/external/llvm/tools/llvm-config/
DCMakeLists.txt12 get_property(LLVM_SYSTEM_LIBS_LIST TARGET LLVMSupport PROPERTY LLVM_SYSTEM_LIBS)
29 get_property(COMPILE_FLAGS TARGET llvm-config PROPERTY COMPILE_FLAGS)
/external/flatbuffers/CMake/
DBuildFlatBuffers.cmake131 PROPERTY GENERATED_INCLUDES_DIR
138 PROPERTY BINARY_SCHEMAS_DIR
145 PROPERTY COPY_TEXT_SCHEMAS_DIR
/external/curl/lib/
DCMakeLists.txt89 set_property(TARGET ${LIB_NAME} APPEND PROPERTY COMPILE_DEFINITIONS "CURL_HIDDEN_SYMBOLS")
90 set_property(TARGET ${LIB_NAME} APPEND PROPERTY COMPILE_FLAGS ${CURL_CFLAG_SYMBOLS_HIDE})
/external/gflags/cmake/
Dutils.cmake48 get_property (${retvar} CACHE ${varname} PROPERTY TYPE SET)
97 set_property (CACHE ${varname} PROPERTY "${property}" "${value}")
108 set_property (CACHE ${varname} PROPERTY VALUE "${value}")
/external/swiftshader/third_party/LLVM/cmake/modules/
DAddLLVM.cmake7 set_property( GLOBAL APPEND PROPERTY LLVM_LIBS ${name} )
33 set_property(GLOBAL PROPERTY LLVM_LIB_DEPS_${name} ${ARGN})

12345