Home
last modified time | relevance | path

Searched refs:QPU_MUX_B (Results 1 – 9 of 9) sorted by relevance

/external/mesa3d/src/gallium/drivers/vc4/kernel/
Dvc4_validate_shaders.c122 else if (add_a == QPU_MUX_B && sig != QPU_SIG_SMALL_IMM) in raddr_add_a_to_live_reg_index()
236 !(add_b == QPU_MUX_B && raddr_b == QPU_R_UNIF)) { in check_tmu_write()
364 !(add_b == QPU_MUX_B && raddr_b == QPU_R_UNIF)) { in validate_uniform_address_write()
519 (add_a != QPU_MUX_B && add_b != QPU_MUX_B)) { in track_live_clamps()
532 !(add_b == QPU_MUX_B && raddr_b == QPU_R_UNIF && in track_live_clamps()
/external/mesa3d/src/gallium/drivers/vc4/
Dvc4_qpu.c30 QPU_SET_FIELD(mux != QPU_MUX_SMALL_IMM ? mux : QPU_MUX_B, muxfield)
41 if (src.mux == QPU_MUX_B) { in set_src_raddr()
90 if (dst.mux == QPU_MUX_B) in qpu_a_dst()
355 uint64_t mux_b_val = (uint64_t)QPU_MUX_B << mux_shift; in swap_ra_file_mux_helper()
Dvc4_qpu_emit.c88 src->mux = QPU_MUX_B; in swap_file()
168 uint32_t mux0 = src0->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src0->mux; in fixup_raddr_conflict()
169 uint32_t mux1 = src1->mux == QPU_MUX_SMALL_IMM ? QPU_MUX_B : src1->mux; in fixup_raddr_conflict()
Dvc4_qpu_defines.h148 QPU_MUX_B, enumerator
Dvc4_qpu.h67 QPU_MUX_B, in qpu_rb()
Dvc4_qpu_validate.c73 src_regs[i].mux == QPU_MUX_B && in _reads_reg()
Dvc4_qpu_schedule.c246 if (mux != QPU_MUX_A && mux != QPU_MUX_B) in process_mux_deps()
495 (src_muxes[i] == QPU_MUX_B && in reads_too_soon_after_write()
Dvc4_qpu_disasm.c322 bool is_a = mux != QPU_MUX_B; in print_alu_src()
/external/libdrm/vc4/
Dvc4_qpu_defines.h145 QPU_MUX_B, enumerator