Home
last modified time | relevance | path

Searched refs:RegB (Results 1 – 5 of 5) sorted by relevance

/external/llvm/include/llvm/MC/
DMCRegisterInfo.h434 bool isSubRegister(unsigned RegA, unsigned RegB) const { in isSubRegister() argument
435 return isSuperRegister(RegB, RegA); in isSubRegister()
439 bool isSuperRegister(unsigned RegA, unsigned RegB) const;
442 bool isSubRegisterEq(unsigned RegA, unsigned RegB) const { in isSubRegisterEq() argument
443 return isSuperRegisterEq(RegB, RegA); in isSubRegisterEq()
448 bool isSuperRegisterEq(unsigned RegA, unsigned RegB) const { in isSuperRegisterEq() argument
449 return RegA == RegB || isSuperRegister(RegA, RegB); in isSuperRegisterEq()
454 bool isSuperOrSubRegisterEq(unsigned RegA, unsigned RegB) const { in isSuperOrSubRegisterEq() argument
455 return isSubRegisterEq(RegA, RegB) || isSuperRegister(RegA, RegB); in isSuperOrSubRegisterEq()
527 inline bool MCRegisterInfo::isSuperRegister(unsigned RegA, unsigned RegB) const{ in isSuperRegister() argument
[all …]
/external/llvm/lib/CodeGen/
DTwoAddressInstructionPass.cpp115 bool isProfitableToConv3Addr(unsigned RegA, unsigned RegB);
119 unsigned RegA, unsigned RegB, unsigned Dist);
534 regsAreCompatible(unsigned RegA, unsigned RegB, const TargetRegisterInfo *TRI) { in regsAreCompatible() argument
535 if (RegA == RegB) in regsAreCompatible()
537 if (!RegA || !RegB) in regsAreCompatible()
539 return TRI->regsOverlap(RegA, RegB); in regsAreCompatible()
674 TwoAddressInstructionPass::isProfitableToConv3Addr(unsigned RegA,unsigned RegB){ in isProfitableToConv3Addr() argument
681 unsigned FromRegB = getMappedReg(RegB, SrcRegMap); in isProfitableToConv3Addr()
693 unsigned RegA, unsigned RegB, in convertInstTo3Addr() argument
710 if (NewMI->findRegisterUseOperand(RegB, false, TRI)) in convertInstTo3Addr()
[all …]
DTargetInstrInfo.cpp702 unsigned RegB = OpB.getReg(); in reassociateOps() local
709 if (TargetRegisterInfo::isVirtualRegister(RegB)) in reassociateOps()
710 MRI.constrainRegClass(RegB, RC); in reassociateOps()
/external/swiftshader/third_party/LLVM/lib/CodeGen/
DTwoAddressInstructionPass.cpp106 unsigned RegB, unsigned RegC, unsigned Dist);
108 bool isProfitableToConv3Addr(unsigned RegA, unsigned RegB);
113 unsigned RegA, unsigned RegB, unsigned Dist);
516 regsAreCompatible(unsigned RegA, unsigned RegB, const TargetRegisterInfo *TRI) { in regsAreCompatible() argument
517 if (RegA == RegB) in regsAreCompatible()
519 if (!RegA || !RegB) in regsAreCompatible()
521 return TRI->regsOverlap(RegA, RegB); in regsAreCompatible()
595 unsigned RegB, unsigned RegC, unsigned Dist) { in CommuteInstruction() argument
631 TwoAddressInstructionPass::isProfitableToConv3Addr(unsigned RegA,unsigned RegB){ in isProfitableToConv3Addr() argument
638 unsigned FromRegB = getMappedReg(RegB, SrcRegMap); in isProfitableToConv3Addr()
[all …]
/external/llvm/lib/Target/Hexagon/
DHexagonInstrInfo.cpp1981 for (auto &RegB : UsesB) { in isDependent() local
1983 if (RegA == RegB) in isDependent()
1988 if (RegB == *SubRegs) in isDependent()
1991 if (Hexagon::DoubleRegsRegClass.contains(RegB)) in isDependent()
1992 for (MCSubRegIterator SubRegs(RegB, &HRI); SubRegs.isValid(); ++SubRegs) in isDependent()