Home
last modified time | relevance | path

Searched refs:bidirectional (Results 1 – 25 of 56) sorted by relevance

123

/external/python/cpython3/Tools/pybench/
DUnicode.py472 bidirectional = unicodedata.bidirectional
485 bidirectional(c)
494 bidirectional(c)
503 bidirectional(c)
512 bidirectional(c)
521 bidirectional(c)
534 bidirectional = unicodedata.bidirectional
/external/python/cpython2/Tools/pybench/
DUnicode.py473 bidirectional = unicodedata.bidirectional
486 bidirectional(c)
495 bidirectional(c)
504 bidirectional(c)
513 bidirectional(c)
522 bidirectional(c)
535 bidirectional = unicodedata.bidirectional
/external/python/cpython3/Lib/test/
Dtest_unicodedata.py96 self.db.bidirectional(char),
153 self.assertEqual(self.db.bidirectional('\uFFFE'), '')
154 self.assertEqual(self.db.bidirectional(' '), 'WS')
155 self.assertEqual(self.db.bidirectional('A'), 'L')
156 self.assertEqual(self.db.bidirectional('\U00020000'), 'L')
158 self.assertRaises(TypeError, self.db.bidirectional)
159 self.assertRaises(TypeError, self.db.bidirectional, 'xx')
/external/python/cpython2/Lib/test/
Dtest_unicodedata.py96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
/external/autotest/server/tests/netperf2/
Dcontrol.srv20 bidirectional is set then there will be the specified number of
21 bidirectional streams.
Dcontrol.stress.srv23 bidirectional is set then there will be the specified number of
24 bidirectional streams.
/external/python/cpython3/Lib/
Dstringprep.py268 return unicodedata.bidirectional(code) in ("R","AL")
272 return unicodedata.bidirectional(code) == "L"
/external/python/cpython2/Doc/library/
Dunicodedata.rst67 .. function:: bidirectional(unichr)
69 Returns the bidirectional class assigned to the Unicode character *unichr* as
91 character in bidirectional text, ``0`` otherwise.
168 >>> unicodedata.bidirectional(u'\u0660') # 'A'rabic, 'N'umber
Dstringprep.rst134 Determine whether *code* is in tableD.1 (Characters with bidirectional property
140 Determine whether *code* is in tableD.2 (Characters with bidirectional property
Dcolorsys.rst12 The :mod:`colorsys` module defines bidirectional conversions of color values
/external/python/cpython3/Doc/library/
Dunicodedata.rst72 .. function:: bidirectional(chr)
74 Returns the bidirectional class assigned to the character *chr* as
94 character in bidirectional text, ``0`` otherwise.
165 >>> unicodedata.bidirectional('\u0660') # 'A'rabic, 'N'umber
Dstringprep.rst135 Determine whether *code* is in tableD.1 (Characters with bidirectional property
141 Determine whether *code* is in tableD.2 (Characters with bidirectional property
Dcolorsys.rst13 The :mod:`colorsys` module defines bidirectional conversions of color values
/external/autotest/client/tests/netpipe/
Dnetpipe.py34 def run_once(self, server_ip, client_ip, role, bidirectional=False, argument
41 if bidirectional:
/external/icu/icu4c/source/i18n/
Dtridpars.cpp650 UBool bidirectional, in registerSpecialInverse() argument
658 if (bidirectional && 0==target.caseCompare(inverseTarget, U_FOLD_CASE_DEFAULT)) { in registerSpecialInverse()
659 bidirectional = FALSE; in registerSpecialInverse()
670 if (bidirectional) { in registerSpecialInverse()
Dtridpars.h284 UBool bidirectional,
/external/tensorflow/tensorflow/contrib/learn/python/learn/
Dmodels.py286 def get_rnn_model(rnn_size, cell_type, num_layers, input_op_fn, bidirectional, argument
332 if bidirectional:
/external/python/cpython2/Tools/unicode/
Dmakeunicodedata.py117 bidirectional = BIDIRECTIONAL_NAMES.index(record[4])
122 category, combining, bidirectional, mirrored, eastasianwidth,
371 bidirectional = record[4]
379 if 'Line_Break' in properties or bidirectional == "B":
382 if category == "Zs" or bidirectional in ("WS", "B", "S"):
/external/python/cpython3/Tools/unicode/
Dmakeunicodedata.py150 bidirectional = BIDIRECTIONAL_NAMES.index(record[4])
155 category, combining, bidirectional, mirrored, eastasianwidth,
404 bidirectional = record[4]
412 if 'Line_Break' in properties or bidirectional == "B":
415 if category == "Zs" or bidirectional in ("WS", "B", "S"):
/external/autotest/server/tests/netpipe/
Dcontrol.srv13 bidirectional - indicates whether the test should run simultaneously in both
Dcontrol.stress.srv15 bidirectional - indicates whether the test should run simultaneously in both
/external/python/cpython2/Lib/
Dstringprep.py268 return unicodedata.bidirectional(code) in ("R","AL")
272 return unicodedata.bidirectional(code) == "L"
/external/icu/icu4j/main/classes/translit/src/com/ibm/icu/text/
DTransliteratorIDParser.java562 boolean bidirectional) { in registerSpecialInverse() argument
564 if (bidirectional && !target.equalsIgnoreCase(inverseTarget)) { in registerSpecialInverse()
/external/icu/android_icu4j/src/main/java/android/icu/text/
DTransliteratorIDParser.java563 boolean bidirectional) { in registerSpecialInverse() argument
565 if (bidirectional && !target.equalsIgnoreCase(inverseTarget)) { in registerSpecialInverse()
/external/icu/icu4c/source/i18n/unicode/
Dtranslit.h1088 UBool bidirectional);

123