Home
last modified time | relevance | path

Searched refs:priority (Results 1 – 25 of 871) sorted by relevance

12345678910>>...35

/external/clang/test/OpenMP/
Dtask_priority_messages.cpp14 #pragma omp task priority // expected-error {{expected '(' after 'priority'}} in tmain()
15 …#pragma omp task priority ( // expected-error {{expected expression}} expected-error {{expected ')… in tmain()
16 #pragma omp task priority () // expected-error {{expected expression}} in tmain()
17 …#pragma omp task priority (argc // expected-error {{expected ')'}} expected-note {{to match this '… in tmain()
18 …#pragma omp task priority (argc)) // expected-warning {{extra tokens at the end of '#pragma omp ta… in tmain()
19 #pragma omp task priority (argc > 0 ? argv[1][0] : argv[2][argc]) in tmain()
20 …#pragma omp task priority (foobool(argc)), priority (true) // expected-error {{directive '#pragma … in tmain()
21 #pragma omp task priority (S) // expected-error {{'S' does not refer to a value}} in tmain()
22 …#pragma omp task priority (argc argc) // expected-error {{expected ')'}} expected-note {{to match … in tmain()
23 #pragma omp task priority(0) in tmain()
[all …]
Dtaskloop_priority_messages.cpp14 #pragma omp taskloop priority // expected-error {{expected '(' after 'priority'}} in tmain()
17 …#pragma omp taskloop priority ( // expected-error {{expected expression}} expected-error {{expecte… in tmain()
20 #pragma omp taskloop priority () // expected-error {{expected expression}} in tmain()
23 …#pragma omp taskloop priority (argc // expected-error {{expected ')'}} expected-note {{to match th… in tmain()
26 …#pragma omp taskloop priority (argc)) // expected-warning {{extra tokens at the end of '#pragma om… in tmain()
29 #pragma omp taskloop priority (argc > 0 ? argv[1][0] : argv[2][argc]) in tmain()
32 …#pragma omp taskloop priority (foobool(argc)), priority (true) // expected-error {{directive '#pra… in tmain()
35 #pragma omp taskloop priority (S) // expected-error {{'S' does not refer to a value}} in tmain()
38 …#pragma omp taskloop priority (argc argc) // expected-error {{expected ')'}} expected-note {{to ma… in tmain()
41 #pragma omp taskloop priority(0) in tmain()
[all …]
Dtaskloop_simd_priority_messages.cpp14 #pragma omp taskloop simd priority // expected-error {{expected '(' after 'priority'}} in tmain()
17 …#pragma omp taskloop simd priority ( // expected-error {{expected expression}} expected-error {{ex… in tmain()
20 #pragma omp taskloop simd priority () // expected-error {{expected expression}} in tmain()
23 …#pragma omp taskloop simd priority (argc // expected-error {{expected ')'}} expected-note {{to mat… in tmain()
26 …#pragma omp taskloop simd priority (argc)) // expected-warning {{extra tokens at the end of '#prag… in tmain()
29 #pragma omp taskloop simd priority (argc > 0 ? argv[1][0] : argv[2][argc]) in tmain()
32 …#pragma omp taskloop simd priority (foobool(argc)), priority (true) // expected-error {{directive … in tmain()
35 #pragma omp taskloop simd priority (S) // expected-error {{'S' does not refer to a value}} in tmain()
38 …#pragma omp taskloop simd priority (argc argc) // expected-error {{expected ')'}} expected-note {{… in tmain()
41 #pragma omp taskloop simd priority(0) in tmain()
[all …]
/external/squashfs-tools/squashfs-tools/
Dsort.c52 int priority; member
66 void add_priority_list(struct dir_ent *dir, int priority) in add_priority_list() argument
70 priority += 32768; in add_priority_list()
76 new_priority_entry->next = priority_list[priority]; in add_priority_list()
77 priority_list[priority] = new_priority_entry; in add_priority_list()
81 int get_priority(char *filename, struct stat *buf, int priority) in get_priority() argument
88 TRACE("returning priority %d (%s)\n", s->priority, in get_priority()
90 return s->priority; in get_priority()
92 TRACE("returning priority %d (%s)\n", priority, filename); in get_priority()
93 return priority; in get_priority()
[all …]
/external/droiddriver/src/io/appium/droiddriver/util/
DLogs.java32 public static void call(int priority, Object self, String method, Object... args) { in call() argument
33 if (Log.isLoggable(TAG, priority)) { in call()
41 public static void log(int priority, String msg) { in log() argument
42 if (Log.isLoggable(TAG, priority)) { in log()
43 Log.println(priority, TAG, msg); in log()
47 public static void log(int priority, Throwable e) { in log() argument
48 if (Log.isLoggable(TAG, priority)) { in log()
49 Log.println(priority, TAG, Log.getStackTraceString(e)); in log()
53 public static void log(int priority, Throwable e, String msg) { in log() argument
54 if (Log.isLoggable(TAG, priority)) { in log()
[all …]
/external/vboot_reference/cgpt/
Dcgpt_prioritize.c18 int priority; // priority of this group member
38 gl->group[i].priority = -1; in NewGroupList()
54 static void AddToGroup(group_list_t *gl, int priority, int partition) { in AddToGroup() argument
58 if (gl->group[i].priority == priority) in AddToGroup()
64 gl->group[i].priority = priority; in AddToGroup()
75 if (gl->group[i].priority == old_priority) { in ChangeGroup()
76 gl->group[i].priority = new_priority; in ChangeGroup()
88 for (j=i; j && (gl->group[j-1].priority < tmp.priority); j--) in SortGroups()
97 int priority; in CgptPrioritize() local
149 priority = GetPriority(&drive, PRIMARY, i); in CgptPrioritize()
[all …]
/external/jmdns/src/javax/jmdns/
DServiceInfo.java119 …ng type, final String name, final int port, final int weight, final int priority, final String tex… in create() argument
120 return new ServiceInfoImpl(type, name, "", port, weight, priority, false, text); in create()
142 …name, final String subtype, final int port, final int weight, final int priority, final String tex… in create() argument
143 return new ServiceInfoImpl(type, name, subtype, port, weight, priority, false, text); in create()
163 …ng type, final String name, final int port, final int weight, final int priority, final Map<String… in create() argument
164 return new ServiceInfoImpl(type, name, "", port, weight, priority, false, props); in create()
186 …name, final String subtype, final int port, final int weight, final int priority, final Map<String… in create() argument
187 return new ServiceInfoImpl(type, name, subtype, port, weight, priority, false, props); in create()
207 …ng type, final String name, final int port, final int weight, final int priority, final byte[] tex… in create() argument
208 return new ServiceInfoImpl(type, name, "", port, weight, priority, false, text); in create()
[all …]
/external/deqp/framework/delibs/depool/
DdePoolHeap.c32 int priority; member
36 DE_INLINE HeapItem HeapItem_create (int priority, int value) in HeapItem_create() argument
39 h.priority = priority; in HeapItem_create()
46 if (a.priority < b.priority) in HeapItem_cmp()
48 if (a.priority > b.priority) in HeapItem_cmp()
70 DE_TEST_ASSERT(TestHeap_popMin(heap).priority == 0); in dePoolHeap_selfTest()
71 DE_TEST_ASSERT(TestHeap_popMin(heap).priority == 10); in dePoolHeap_selfTest()
72 DE_TEST_ASSERT(TestHeap_popMin(heap).priority == 20); in dePoolHeap_selfTest()
98 DE_TEST_ASSERT(h.priority == i); in dePoolHeap_selfTest()
99 DE_TEST_ASSERT(h.value == -h.priority); in dePoolHeap_selfTest()
[all …]
/external/ltp/testcases/open_posix_testsuite/conformance/interfaces/pthread_rwlock_unlock/
D3-1.c79 int priority; in fn_rd() local
82 priority = (long)arg; in fn_rd()
83 set_priority(pthread_self(), TRD_POLICY, priority); in fn_rd()
114 int priority; in fn_wr_1() local
117 priority = (int)(long)arg; in fn_wr_1()
118 set_priority(pthread_self(), TRD_POLICY, priority); in fn_wr_1()
151 int priority; in fn_wr_2() local
154 priority = (long)arg; in fn_wr_2()
155 set_priority(pthread_self(), TRD_POLICY, priority); in fn_wr_2()
193 int priority; in main() local
[all …]
/external/tcpdump/tests/
Dhsrp_1-v.out2 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
4 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
6 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
8 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
10 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
12 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
14 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
18 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
20 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
22 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
[all …]
Dhsrp_2-v.out2 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
4 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
6 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
8 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
12 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
16 …ello 20: state=speak group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
18 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
22 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
28 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
30 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
[all …]
Dhsrp_3-v.out2 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
6 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
8 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
10 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
12 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
14 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
16 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
20 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
22 …llo 20: state=active group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=200 auth="cisco^@…
24 …lo 20: state=standby group=1 addr=192.168.0.1 hellotime=3s holdtime=10s priority=100 auth="cisco^@…
[all …]
/external/ltp/testcases/open_posix_testsuite/conformance/interfaces/pthread_rwlock_rdlock/
D2-1.c78 int priority; in fn_rd() local
81 priority = (long)arg; in fn_rd()
82 set_priority(pthread_self(), TRD_POLICY, priority); in fn_rd()
109 int priority; in fn_wr() local
112 priority = (long)arg; in fn_wr()
113 set_priority(pthread_self(), TRD_POLICY, priority); in fn_wr()
146 int priority; in main() local
149 priority = sched_get_priority_min(TRD_POLICY) + 2; in main()
150 set_priority(pthread_self(), TRD_POLICY, priority); in main()
151 printf("main: has priority: %d\n", priority); in main()
[all …]
D2-3.c78 int priority; in fn_rd() local
81 priority = (int)(long)arg; in fn_rd()
82 set_priority(pthread_self(), TRD_POLICY, priority); in fn_rd()
109 int priority; in fn_wr() local
112 priority = (long)arg; in fn_wr()
113 set_priority(pthread_self(), TRD_POLICY, priority); in fn_wr()
147 int priority; in main() local
150 priority = sched_get_priority_min(TRD_POLICY) + 2; in main()
151 set_priority(pthread_self(), TRD_POLICY, priority); in main()
168 priority = sched_get_priority_min(TRD_POLICY); in main()
[all …]
D2-2.c78 int priority; in fn_rd() local
81 priority = (long)arg; in fn_rd()
82 set_priority(pthread_self(), TRD_POLICY, priority); in fn_rd()
109 int priority; in fn_wr() local
112 priority = (long)arg; in fn_wr()
113 set_priority(pthread_self(), TRD_POLICY, priority); in fn_wr()
146 int priority; in main() local
149 priority = sched_get_priority_min(TRD_POLICY) + 2; in main()
150 set_priority(pthread_self(), TRD_POLICY, priority); in main()
167 priority = sched_get_priority_min(TRD_POLICY) + 1; in main()
[all …]
/external/python/cpython3/Lib/
Dsched.py39 def __eq__(s, o): return (s.time, s.priority) == (o.time, o.priority)
40 def __lt__(s, o): return (s.time, s.priority) < (o.time, o.priority)
41 def __le__(s, o): return (s.time, s.priority) <= (o.time, o.priority)
42 def __gt__(s, o): return (s.time, s.priority) > (o.time, o.priority)
43 def __ge__(s, o): return (s.time, s.priority) >= (o.time, o.priority)
47 Event.priority.__doc__ = ('''Events scheduled for the same time will be executed
68 def enterabs(self, time, priority, action, argument=(), kwargs=_sentinel): argument
77 event = Event(time, priority, action, argument, kwargs)
82 def enter(self, delay, priority, action, argument=(), kwargs=_sentinel): argument
89 return self.enterabs(time, priority, action, argument, kwargs)
[all …]
/external/libchrome/base/threading/
Dplatform_thread_linux.cc36 ThreadPriority priority) { in ThreadPriorityToCgroupDirectory() argument
37 switch (priority) { in ThreadPriorityToCgroupDirectory()
62 ThreadPriority priority) { in SetThreadCgroupForThreadPriority() argument
65 cgroup_filepath.Append(FILE_PATH_LITERAL("chrome")), priority); in SetThreadCgroupForThreadPriority()
75 ThreadPriority priority) { in SetThreadCgroupsForThreadPriority() argument
78 thread_id, cgroup_filepath.Append(FILE_PATH_LITERAL("cpuset")), priority); in SetThreadCgroupsForThreadPriority()
81 priority); in SetThreadCgroupsForThreadPriority()
101 bool SetCurrentThreadPriorityForPlatform(ThreadPriority priority) { in SetCurrentThreadPriorityForPlatform() argument
103 SetThreadCgroupsForThreadPriority(PlatformThread::CurrentId(), priority); in SetCurrentThreadPriorityForPlatform()
104 return priority == ThreadPriority::REALTIME_AUDIO && in SetCurrentThreadPriorityForPlatform()
[all …]
/external/selinux/libsemanage/src/
Dmodules.c232 modinfo->priority = 0; in semanage_module_info_datum_destroy()
307 modinfo->priority = 0; in hidden_def()
332 ret = semanage_module_info_set_priority(sh, target, source->priority); in semanage_module_info_clone()
363 uint16_t *priority) in semanage_module_info_get_priority() argument
367 assert(priority); in semanage_module_info_get_priority()
369 *priority = modinfo->priority; in semanage_module_info_get_priority()
423 uint16_t priority) in hidden_def()
429 if (semanage_module_validate_priority(priority) < 0) { in hidden_def()
431 ERR(sh, "Priority %d is invalid.", priority); in hidden_def()
435 modinfo->priority = priority; in hidden_def()
[all …]
/external/tensorflow/tensorflow/core/framework/
Dallocator_registry.h32 void Register(const string& name, int priority, Allocator* allocator);
44 int priority; member
50 Allocator* GetRegisteredAllocator(const string& name, int priority);
60 AllocatorRegistration(const string& name, int priority, in AllocatorRegistration() argument
62 AllocatorRegistry::Global()->Register(name, priority, allocator); in AllocatorRegistration()
68 #define REGISTER_MEM_ALLOCATOR(name, priority, allocator) \ argument
69 REGISTER_MEM_ALLOCATOR_UNIQ_HELPER(__COUNTER__, name, priority, allocator)
71 #define REGISTER_MEM_ALLOCATOR_UNIQ_HELPER(ctr, name, priority, allocator) \ argument
72 REGISTER_MEM_ALLOCATOR_UNIQ(ctr, name, priority, allocator)
74 #define REGISTER_MEM_ALLOCATOR_UNIQ(ctr, name, priority, allocator) \ argument
[all …]
Dallocator_registry.cc30 int priority) { in GetRegisteredAllocator() argument
32 if (!name.compare(entry.name) && priority == entry.priority) { in GetRegisteredAllocator()
39 void AllocatorRegistry::Register(const string& name, int priority, in Register() argument
42 CHECK_GE(priority, 0) << "Priority needs to be non-negative"; in Register()
44 Allocator* existing = GetRegisteredAllocator(name, priority); in Register()
50 << "], priority: [" << priority in Register()
63 tmp_entry.priority = priority; in Register()
69 if (high_pri < entry.priority) { in Register()
71 high_pri = entry.priority; in Register()
/external/dng_sdk/source/
Ddng_abort_sniffer.cpp41 void Increment (dng_priority priority);
43 void Decrement (dng_priority priority);
45 void Wait (dng_priority priority);
94 void dng_priority_manager::Increment (dng_priority priority) in Increment() argument
99 fCounter [priority] += 1; in Increment()
105 void dng_priority_manager::Decrement (dng_priority priority) in Decrement() argument
112 fCounter [priority] -= 1; in Decrement()
127 void dng_priority_manager::Wait (dng_priority priority) in Wait() argument
130 if (priority < dng_priority_maximum) in Wait()
135 while (priority < MinPriority ()) in Wait()
[all …]
/external/ltp/testcases/kernel/device-drivers/v4l/user_space/
Dtest_VIDIOC_PRIORITY.c33 int valid_priority(enum v4l2_priority priority) in valid_priority() argument
39 switch (priority) { in valid_priority()
52 static void do_set_priority(enum v4l2_priority priority) in do_set_priority() argument
58 dprintf("\t%s:%u: set priority to %i\n", __FILE__, __LINE__, priority); in do_set_priority()
59 ret_set = ioctl(get_video_fd(), VIDIOC_S_PRIORITY, &priority); in do_set_priority()
74 CU_ASSERT_EQUAL(new_priority, priority); in do_set_priority()
80 enum v4l2_priority priority) in do_set_invalid_priority() argument
87 priority); in do_set_invalid_priority()
88 ret_set = ioctl(get_video_fd(), VIDIOC_S_PRIORITY, &priority); in do_set_invalid_priority()
138 enum v4l2_priority priority; in test_VIDIOC_G_PRIORITY_NULL() local
[all …]
/external/autotest/client/tests/pi_tests/
Dcontrol10 main thread: low, medium and high priority threads that use SCHED_FIFO as
11 their scheduling policy. The low priority thread claims a mutex and then
12 starts "working". The medium priority thread starts and preempts the low
13 priority thread. Then the high priority thread runs and attempts to claim
14 the mutex owned by the low priority thread. Without priority inheritance,
15 this will deadlock the program. With priority inheritance, the low priority
16 thread receives a priority boost, finishes it's "work" and releases the mutex,
17 which allows the high priority thread to run and finish and then the medium
18 priority thread finishes.
/external/ltp/testcases/open_posix_testsuite/conformance/interfaces/pthread_attr_getschedparam/
D1-1.c31 int verify_param(pthread_attr_t * attr, int priority) in verify_param() argument
41 if (priority != param.sched_priority) { in verify_param()
53 int priority; in main() local
66 priority = sched_get_priority_max(FIFOPOLICY); in main()
67 if (priority == -1) { in main()
71 param.sched_priority = priority; in main()
77 verify_param(&attr, priority); in main()
84 priority = sched_get_priority_max(RRPOLICY); in main()
85 if (priority == -1) { in main()
89 param.sched_priority = priority; in main()
[all …]
/external/guava/guava/src/com/google/common/util/concurrent/
DThreadFactoryBuilder.java48 private Integer priority = null; field in ThreadFactoryBuilder
95 public ThreadFactoryBuilder setPriority(int priority) { in setPriority() argument
98 checkArgument(priority >= Thread.MIN_PRIORITY, in setPriority()
99 "Thread priority (%s) must be >= %s", priority, Thread.MIN_PRIORITY); in setPriority()
100 checkArgument(priority <= Thread.MAX_PRIORITY, in setPriority()
101 "Thread priority (%s) must be <= %s", priority, Thread.MAX_PRIORITY); in setPriority()
102 this.priority = priority; in setPriority()
152 final Integer priority = builder.priority; in build() local
169 if (priority != null) { in build()
170 thread.setPriority(priority); in build()

12345678910>>...35